• <nav id="kggui"></nav>
  • <optgroup id="kggui"></optgroup>
  • <menu id="kggui"></menu><xmp id="kggui"><nav id="kggui"></nav>
    <menu id="kggui"><menu id="kggui"></menu></menu>
    <nav id="kggui"></nav>

    例化語句

    VHDL 元件例化語句

    引用的東西要在同一個project里的其他文件里有定義才行。

    給你個例子看下就明白了,這是引用一位全加器構成一個四位全加器。

    project名是adder,里面兩個vhd文件,分別為*和*

    *內容如下:

    LIBRARY IEEE;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    ENTITY FullAdder is --這是一位全加器

    port(

    A:in std_logic;

    B:in std_logic;

    C:in std_logic;

    Carry:out std_logic;

    Sum:out std_logic

    );

    END FullAdder;

    architecture a of FullAdder is

    begin

    SumCarryend a;

    *內容如下:

    LIBRARY IEEE;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    entity adder is --四位全加器

    port(

    A,B:in std_logic_vector(3 downto 0);

    S:out std_logic_vector(3 downto 0);

    C:inout std_logic_vector(4 downto 0)

    );

    end adder;

    architecture a of adder is

    component FullAdder --聲明component

    port(

    A:in std_logic;

    B:in std_logic;

    C:in std_logic;

    Carry:out std_logic;

    Sum:out std_logic

    );

    end component;

    begin

    u1:FullAdder port map(A(0),B(0),C(0),C(1),S(0));

    --引用component,u1,u2,u3,u4為映像的標識名,port map是關鍵字,端口按對應順序寫

    u2:FullAdder port map(A(1),B(1),C(1),C(2),S(1));

    u3:FullAdder port map(A(2),B(2),C(2),C(3),S(2));

    u4:FullAdder port map(A(3),B(3),C(3),C(4),S(3));

    C(0)end a;

    VHDL 元件例化語句

    引用的東西要在同一個project里的其他文件里有定義才行。

    給你個例子看下就明白了,這是引用一位全加器構成一個四位全加器。

    project名是adder,里面兩個vhd文件,分別為*和*

    *內容如下:

    LIBRARY IEEE;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    ENTITY FullAdder is --這是一位全加器

    port(

    A:in std_logic;

    B:in std_logic;

    C:in std_logic;

    Carry:out std_logic;

    Sum:out std_logic

    );

    END FullAdder;

    architecture a of FullAdder is

    begin

    Sum<=A xor B xor C;

    Carry<=(A and B) or (A and C) or (B and C);

    end a;

    *內容如下:

    LIBRARY IEEE;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    USE *_LOGIC_*;

    entity adder is --四位全加器

    port(

    A,B:in std_logic_vector(3 downto 0);

    S:out std_logic_vector(3 downto 0);

    C:inout std_logic_vector(4 downto 0)

    );

    end adder;

    architecture a of adder is

    component FullAdder --聲明component

    port(

    A:in std_logic;

    B:in std_logic;

    C:in std_logic;

    Carry:out std_logic;

    Sum:out std_logic

    );

    end component;

    begin

    u1:FullAdder port map(A(0),B(0),C(0),C(1),S(0));

    --引用component,u1,u2,u3,u4為映像的標識名,port map是關鍵字,端口按對應順序寫

    u2:FullAdder port map(A(1),B(1),C(1),C(2),S(1));

    u3:FullAdder port map(A(2),B(2),C(2),C(3),S(2));

    u4:FullAdder port map(A(3),B(3),C(3),C(4),S(3));

    C(0)<='0';

    end a;

    怎么使用例化語句將10進制計數器和6進制計數器組成一個60進制減法

    六進制計數器源程序*:

    LIBRARY IEEE;

    USE *_LOGIC_*;

    USE IEEE. STD_LOGIC_*;

    ENTITY CNT6 IS

    PORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC;

    D: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

    Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

    COUT: OUT STD_LOGIC);

    END CNT6;

    ARCHITECTURE ONE OF CNT6 IS

    SIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";

    BEGIN

    PROCESS(CLK, CLRN, ENA, LDN)

    BEGIN

    IF CLRN='0' THEN CIELSIF CLK'EVENT AND CLK='1' THEN

    IF LDN='0' THEN CIELSIF ENA='1' THEN

    IF CIELSE CIEND IF;

    END IF;

    END IF;

    QEND PROCESS;

    COUTEND ONE;

    十進制計數器源程序*:

    LIBRARY IEEE;

    USE *_LOGIC_*;

    USE IEEE. STD_LOGIC_*;

    ENTITY CNT10 IS

    PORT (CLK, CLRN, ENA, LDN: IN STD_LOGIC;

    D: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

    Q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

    COUT: OUT STD_LOGIC);

    END CNT10;

    ARCHITECTURE ONE OF CNT10 IS

    SIGNAL CI: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";

    BEGIN

    PROCESS(CLK, CLRN, ENA, LDN)

    BEGIN

    IF CLRN='0' THEN CIELSIF CLK'EVENT AND CLK='1' THEN

    IF LDN='0' THEN CIELSIF ENA='1' THEN

    IF CIELSE CIEND IF;

    END IF;

    END IF;

    QEND PROCESS;

    COUTEND ONE;

    設計兩輸入端與門元件:

    將要使用的元件包裝入庫:

    使用元件例化語句設計的六十進制計數器源程序*:

    轉載請注明出處華閱文章網 » 例化語句

    短句

    覆蓋語句覆蓋

    閱讀(215)

    條件覆蓋,語句覆蓋,分支覆蓋有啥區別舉個例子吧 if A and B then Action1 if C or D then Action2 語句覆蓋最弱,只需要讓程序中的語句都執行一遍即可 。上例中只需設計測試用例使得A=true B=true C=tru

    短句

    c里的if語句

    閱讀(244)

    C語言中if(1.if語句的一般格式if(表達式) [else](1)if語句中的“表達式”必須用“(”和“)”括起來.(2)else子句(可選)是if語句的一部分,必須與if配對使用,不能單獨使用.(3)當if和else下面的語句組,僅由一條語句構成時,也

    短句

    sql保存語句

    閱讀(481)

    存儲過程與SQL語句是怎樣的我的一位朋友說:他從臺灣知名技術作家李維先生的一本書中獲悉,如果用存儲過程封裝SQL語句,系統效率將有極大提升。 他做過實驗!!! --我相信朋友做過實驗,盡管非親眼所見。不過我估計他的實驗有問題,那樣的實驗不但蒙

    短句

    oraclein語句優化

    閱讀(242)

    Oracle 語句優化 網上找了一下,不要用in,我把SQl語句,幫我看看, 是啊, ThinkIBM 說得挺有道理的. 你這個不是 IN ( SELECT 語句 ) 啊。如果你堅持, 不能有 IN 的話……那么se

    短句

    phpmysql語句

    閱讀(244)

    php中寫mysql語句 不管怎樣,在這里我總結了常用的PHP連接MySQL數據庫以及讀取寫入數據庫的方法,希望能夠幫到你,當然也是作為我自己的一個回顧總結。1.為了更好地設置數據連接,一般會將數據連接所涉及的值定義成變量.?1234567$mysql_se

    短句

    管理語句

    閱讀(225)

    誰能告訴我50條管理名言 1、集中精力,絕對不妥協地向官僚主義開戰。2、竭力尊重有能力的人,而讓沒有能力的人滾蛋。3、對高級人才只要認為值得,付出絕不吝嗇。4、始終使用最

    短句

    動人的語句

    閱讀(229)

    一些讓你感動的句子 1.如果不愛,就不會在意,如果不在意,就不會受傷,這就是愛情。因為愛,所以在乎,所以痛苦,這是因果。2曾經相戀卻最終沒有相守的戀人,明明可以朝朝暮暮,明明可以攜手白頭,明明可以的,為什么要錯過彼此呢?3.害怕會受傷,不信任對方,忐

    短句

    for語句循環體

    閱讀(224)

    【for循環循環體怎么看,舉個例子】 在C語言中,for語句使用最為靈活,它完全可以取代 while 語句.它的一般形式為: for(表達式1;表達式2;表達式3) 語句它的執行過程如下:先求解表達

    短句

    sql查詢語句條件

    閱讀(270)

    sql怎么用查詢結果作為條件進行查詢 嵌套SELECT語句也叫子查詢,一個 SELECT 語句的查詢結果能夠作為另一個語句的輸入值。子查詢不但能夠出現在Where子句中,也能夠出現在fr

    短句

    暖暖的語句

    閱讀(214)

    描寫溫暖的句子大全 1、總是在不經意的時候,回眸遠眺,看著一路走來時的腳步,有苦,有甜,有笑,有淚。在走走停停之后,放慢了匆忙的腳步,感受那一路走來的彌足珍貴,回頭的時候,終于發

    短句

    javaswitchif語句

    閱讀(256)

    Java中switch和if語句的區別 switch 進行一次條件判斷后直接執行到程序的條件語句.if。else 有幾種條件,就得判斷多少次. //可能這就是所說的,效率不同的根源所在吧if。else可以進行條件范圍的判斷,switch只能進行具體數值的的判

    短句

    愛你的語句

    閱讀(211)

    那些關于我愛你的經典句子1、我愛你,所以為了你的幸福,我愿意放棄一切--包括你。 2、失望,有時候也是一種幸福,因為有所期待所以才會失望。因為有愛,才會有期待,所以縱使失望,也是一種幸福,雖然這種幸福有點痛。 3、世上最凄絕的距離是兩個人本

    短句

    悲傷的語句子

    閱讀(257)

    描寫傷心的句子描寫人很傷心的句子,越多越好 1.我以為小鳥飛不過滄海,是以為小鳥沒有飛過滄海的勇氣,十年以后我才發現,不是小鳥飛不過去,而是滄海的那一頭,早已沒有了等待…… 2.你走的那天,我決定不掉淚,迎著風撐著眼簾用力不眨眼……3.多謝

    短句

    java的switch語句

    閱讀(227)

    java中switch的用法 java中switch的用法首先從原理上來闡述這個問題: switch(表達式) { case 常量表達式1:語句1; 。. case 常量表達式2:語句2; default:語句; } 1.default就是如

    短句

    覆蓋語句覆蓋

    閱讀(215)

    條件覆蓋,語句覆蓋,分支覆蓋有啥區別舉個例子吧 if A and B then Action1 if C or D then Action2 語句覆蓋最弱,只需要讓程序中的語句都執行一遍即可 。上例中只需設計測試用例使得A=true B=true C=tru

    短句

    c里的if語句

    閱讀(244)

    C語言中if(1.if語句的一般格式if(表達式) [else](1)if語句中的“表達式”必須用“(”和“)”括起來.(2)else子句(可選)是if語句的一部分,必須與if配對使用,不能單獨使用.(3)當if和else下面的語句組,僅由一條語句構成時,也

    短句

    phpmysql語句

    閱讀(244)

    php中寫mysql語句 不管怎樣,在這里我總結了常用的PHP連接MySQL數據庫以及讀取寫入數據庫的方法,希望能夠幫到你,當然也是作為我自己的一個回顧總結。1.為了更好地設置數據連接,一般會將數據連接所涉及的值定義成變量.?1234567$mysql_se

    短句

    oraclein語句優化

    閱讀(242)

    Oracle 語句優化 網上找了一下,不要用in,我把SQl語句,幫我看看, 是啊, ThinkIBM 說得挺有道理的. 你這個不是 IN ( SELECT 語句 ) 啊。如果你堅持, 不能有 IN 的話……那么se

    短句

    sql保存語句

    閱讀(481)

    存儲過程與SQL語句是怎樣的我的一位朋友說:他從臺灣知名技術作家李維先生的一本書中獲悉,如果用存儲過程封裝SQL語句,系統效率將有極大提升。 他做過實驗!!! --我相信朋友做過實驗,盡管非親眼所見。不過我估計他的實驗有問題,那樣的實驗不但蒙

    短句

    管理語句

    閱讀(225)

    誰能告訴我50條管理名言 1、集中精力,絕對不妥協地向官僚主義開戰。2、竭力尊重有能力的人,而讓沒有能力的人滾蛋。3、對高級人才只要認為值得,付出絕不吝嗇。4、始終使用最

    短句

    動人的語句

    閱讀(229)

    一些讓你感動的句子 1.如果不愛,就不會在意,如果不在意,就不會受傷,這就是愛情。因為愛,所以在乎,所以痛苦,這是因果。2曾經相戀卻最終沒有相守的戀人,明明可以朝朝暮暮,明明可以攜手白頭,明明可以的,為什么要錯過彼此呢?3.害怕會受傷,不信任對方,忐

    短句

    sql條件查詢語句

    閱讀(242)

    SQL多條件查詢語句 select * from tab_name where 組號='001組' and to_char(日期,'yyyy-mm-dd')='2013-04-15' and 姓名1='小王'union allselect * from tab_name where 組

    久久热在线视频